1 min

Tags in this article

, , ,

Apple’s A17 chip is rumoured to be baked on TSMC’s 3nm process, but MediaTek is the first company to actually confirm its own SoC on the latest node. The new flagship chipset is expected to appear in smartphones, tablets, cars and other devices in the second half of 2024.

The chip’s performance look set to improve by up to 18 percent on TSMC’s 3nm process. That’s not an overly surprising number: an uplift of around 20 percent is fairly common for a new generation of chips. More impressive are the efficiency gains: MediaTek’s new SoC is said to consume 32 percent less power. No name has yet been announced for the future processor.

Following Apple

MediaTek rivals Qualcomm and Samsung when it comes to powerful mobile chips. Oppo, Asus, Xiaomi, Redmi and Vivo mostly use the Dimensity 9000 line, such as the 9200+. That chip is very close in performance to the Qualcomm Snapdragon 8 Gen 2, which powers the Samsung Galaxy S23 series, among others.

Interestingly, Apple reportedly reportedly bought up all of TSMC’s 3nm stock for a year, with the Taiwanese company paying for the defective chips. This suggests that while MediaTek may have the scoop to be the first to actually talk about a chip at 3 nanometers, it will not be the first to deliver it.

Also read: Mediatek focuses on IoT with new AI chips and 7 years of security updates